《EDA脈沖寬度測量儀實驗報告要點》由會員分享,可在線閱讀,更多相關《EDA脈沖寬度測量儀實驗報告要點(13頁珍藏版)》請在裝配圖網(wǎng)上搜索。
1、湖南涉外經(jīng)濟學院課程設計報告課程名稱:EDA技術與應用報告題目:脈沖寬度測量儀學生姓名:劉君瑋所在學院:電子科學與信息學院專業(yè)班級: 電子信息1302學生學號:134110229指導教師: 羅志年 李剛2015年12月24日EDA課程設計任務書報告題目脈,蟲度測量儀完成時間12.231.11學生姓名劉君瑋專業(yè)班級電信1302指導教師羅志年李剛職稱副教授講師設計目的在電子技術及其應用領域中,常需要對各種系統(tǒng)工作的時間特性進行分析測量, 如圖一給出的這種時間特性示意圖,其中t表示時間脈沖寬度,即指脈沖起始時間 和終止時間的持續(xù)時間。T表示一個工作周期,即從脈沖的一個上升沿到下一個上 升的時間。_
2、一圖一脈沖寬度與周期在測量與儀器儀表領域,經(jīng)常需要對數(shù)字信號的脈沖寬度進行測量, 如轉(zhuǎn)速傳 感器、外部系統(tǒng)的門控與選通脈沖,以及 PWM(永沖寬度調(diào)制)輸入的頻率等。因 此可以說脈沖寬度和周期是關于脈沖的重要指標。無論是模似電路還是數(shù)字電路, 往往都需要對脈沖寬度進行測量。設計內(nèi)容(1)脈沖信號寬度的測量精度為 1ms(2)脈沖信號寬度的測量范圍為 010s。(3)調(diào)試過程中可以用按鍵模擬脈沖信號。(4)測量值用5位數(shù)碼管顯示(可以采用靜態(tài)顯示)。(5)輸入信號為標準TTL電平。(6)調(diào)試中既可以采用正脈沖,也可以采用負脈沖(任選其一)。(7)必須先進行前仿真,并打印出仿真波形。(8)按要求寫
3、好設計報告(設計報告內(nèi)容包括:引言,方案設計與論證,總體設 計,各模塊設計,調(diào)試與數(shù)據(jù)分析,總結)。設計步驟工作內(nèi)容及時間進度安排共2周:12.22小組成員分工寫好各模塊的程序12.23 將程序生成的模塊進行調(diào)試、整合。12.24 在實驗平臺上進行驗證。課程設計成果1 .與設計內(nèi)容對應的軟件程序2 .課程設計總結報告摘要隨著EDAK術的迅速發(fā)展,在EDA件平臺上,根據(jù)硬件描述語言VHDL完成的設計文件, 自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局線和仿真, 直至對于特定目標芯片的適配編譯、 邏輯映射和編程下載等工作成了脈沖測量的發(fā)展方向。 采用此種發(fā)法, 設計者的工作僅限于利用軟件的方式
4、來完成對系統(tǒng)硬件功能的描述,在EDAL具的幫助下和應用相應的 FPGA/CPLDI件,就可以得到最后的設計結果。通常采用脈沖計數(shù)法, 即在待測信號的高電平或低電平用一高頻時鐘脈沖進行計數(shù), 然后根據(jù)脈沖的個數(shù)計算待測信號寬度, 如圖四所示。 待測信號相對于計數(shù)時鐘通常是獨立的, 其上升、 下降沿不可能正好落在時鐘的邊沿上, 因此該法的最大測量誤差為一個時鐘周期。例如采用 50MHz 的高頻時鐘,最大誤差為20ns。關鍵詞: 脈寬;脈沖;數(shù)顯;電容一、概述 1二、需求分析 2三、系統(tǒng)設計 3四、*模塊詳細設計與實現(xiàn) 4五、結論與心得 6六、參考文獻 6I1、 概述在測量與儀器儀表領域, 經(jīng)常需要
5、對數(shù)字信號的脈沖寬度進行測量,如轉(zhuǎn)速傳感器、外部系統(tǒng)的門控與選通脈沖,以及pwm脈沖寬度調(diào)制 ) 輸入的頻率等。因此可以說脈沖寬度和周期是關于脈沖的重要指標。 無論是模似電路還是數(shù)字電路, 往往都需要對脈沖寬度進行測量。參與此次課程設計的小組成員為:劉君瑋,王鄭軍,劉格誠,楊卓龍。各自參與了程序編寫、原理圖繪制、實驗驗證的工作。整體情況良好,在規(guī)定時間內(nèi)完成了各項工作。2、 需求分析1 脈沖檢測實現(xiàn)對脈沖的檢測,即信號的輸入。2計數(shù)器對脈寬進行計數(shù)3數(shù)據(jù)選擇器對數(shù)據(jù)進行選擇處理4. LED顯示譯碼器對統(tǒng)計的結果進行顯示3、 系統(tǒng)設計1系統(tǒng)模塊( 1)脈沖檢測模塊當有檢測到 P_IN 端有脈沖輸
6、入( P_IN=1 )時, EN_OUT=1 輸送到計數(shù)器EN 端。2)計數(shù)模塊計數(shù)模塊是對檢測到的脈沖進行計數(shù) ,計算出脈沖的寬度(3)譯碼顯示模塊對輸入的脈沖寬度進行編碼,然后在數(shù)碼管上顯示出來。2.總流程圖6時鐘信號計數(shù)信號輸入脈沖清零復位顯示四、詳細程序設計(1)脈沖檢測模塊library ieee;use ieee.std_logic_1164.all;entity check isport(P_IN : in std_logic;EN_OUT: out std_logic);end check;architecture behave of check isbeginprocess
7、(P_IN)beginif(P_IN=1) then EN_OUT=1; - 實現(xiàn)檢測,若有脈沖就輸出為 1else EN_OUT=0;- ,否則為 0end if;end process;end behave;( 2)計數(shù)模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count isport(EN:in std_logic;CLK:in std_logic;CLR:in std_logic;CQ:out std_logic;Qout:BUFFER std_logic_vect
8、or(3 downto 0);end count;architecture art of count isbeginU1:process(CLK,CLR,EN,QOUT)Beginif CLR=1 then Qout=0000;elsif CLKEVENT and CLK=1 thenif EN=1 thenif Qout=1001 then Qout=0000;else Qout=Qout+1;end if;end if ;end if ;if Qout=1001 thenCQ=1;elseCQ=0;end if;end PROCESS U1;end art;( 3)譯碼顯示模塊librar
9、y ieee;use ieee.std_logic_1164.all;ENTITY led ISPORT(X:IN BIT_VECTOR(3 DOWNTO 0);Y:OUT BIT_VECTOR(6 DOWNTO 0);-sel:out std_logic_vector(7 downto 0);END ENTITY led;ARCHITECTURE ART OF led ISBEGIN-sel=11111110;PROCESS(X) isBEGINIF X=0000 THEN Y=1111110;-0ELSIF X=0001 THEN Y=0110000;-1ELSIF X=0010 THEN
10、 Y=1101101;-2ELSIF X=0011 THEN Y=1111001;-3ELSIF X=0100 THEN Y=011001T;-4ELSIF X=0101 THEN Y=1011011;-5ELSIF X=0110 THEN Y=0011111;-6ELSIF X=0111 THEN Y=1110000”;-7ELSIF X=1000 THEN Y=1111111”;-8ELSIF X=1001 THEN Y=1110011;-9-ELSIF X=1010 THEN Y=1110111;-A-ELSIF X=1011 THEN Y=0011111”;-b-ELSIF X=110
11、0 THEN Y=1001110”;-C-ELSIF X=1101 THEN Y=0111101;-d-ELSIF X=1110 THEN Y=1001111;-E-ELSIF X=1111 THEN Y=1000111;-FELSE NULL;END IF;END PROCESS;END ARCHITECTURE ART;印d0|3 時 明口 JW 邳*d刮3時 ML的 科工的峋1鵬4叨 u0r.G五、總原理圖與管腳鎖定表4K3.O did4 H2T3.0J 由口5 MMtf7n.ni總模塊圖ftEl-【Fi*l l-rinwriuZ J* kidll*1 i仙蚪aq15-i $WUIISi
12、igMUI z-ifaeiRj 13、EMUI 2 1 ”日網(wǎng) ,4i;xui a 4 *K*”卻 2. h Idriwll IS r * 11 Lirf西,4kl 地 工九曲aj管腳鎖定表六、結論與心得經(jīng)過調(diào)試及結果分析,設計滿足任務要求。脈沖信號寬度的測量精度符合土 1m6測量范圍為010s。這次的課程設計對于我來說是一次演練,從選題到畫出流程圖,再到寫出程序,不停的編不停的改不停的查資料直至最后完成,有問題大家都坐在一起討論,一起努力,一起攻克問題。我想我們享受的就是這個過程,而不僅僅只是 結果。通過這次的設計,我知道了,在任何問題面前,只要我們努力,只要我們 勇敢,我想,它們都不是問題,都不是困難。七、參考文獻1高金定主編.EDA技術與應用.中國電力出版社.20102劉英.脈寬的測量和參數(shù)分析.電子信息對抗技術.20073吳大正.信號與線性系統(tǒng)分析.高等教育出版社.20054閻石.數(shù)字電子技術基礎.第四版.北京:高等教育出版社,1998教師評語:教 師評語及設 計成績課程設計成績:指導教師: (簽名)日期:年月日